Circuito integrado

Microprocesador Intel i486DX2 (1992) : La carcasa abierta del IC muestra la placa semiconductora grande de 76 mm²con 1,2 millones de transistores . El área rectangular central es el circuito electrónico real, en cuyos ladosestán dispuestaslas líneas de conexión para el cableado a los pines de la carcasa . Las unidades funcionales como la unidad aritmética y la memoria caché del procesador pueden reconocersepor diferentes brillos.
IC sin abrir arriba: A continuación puede ver parte de los 168 pines que están en el zócalo del procesador en la placa principal de una PC durante el funcionamiento . Los chips de procesador actuales tienen ahora alrededor de 4000 veces más transistores con dimensiones similares .

Un circuito integrado , y circuito integrado ( Inglés circuito integrado , corta IC , las letras se discuten por separado: [ ʔiː ] [ TSE ] o anticuado IS ) es una grande en una capa delgada, normalmente de unos pocos milímetros oblea de semiconductor material depositado circuito electrónico . A veces se hace referencia como circuito de estado sólido o monolítica de circuito ( Inglés circuito de estado sólido o circuito integrado monolítico llamada). Este chip ( inglés The ) es principalmente para proteger y un contacto más simple en un paquete de múltiples chips más grande encapsulado. Un CI normalmente contiene una combinación de numerosos componentes semiconductores electrónicos conectados eléctricamente , como transistores , diodos y / u otros componentes activos y pasivos .

Los circuitos integrados pueden comprender hoy en día circuitos con muchos miles de millones de componentes electrónicos (especialmente transistores), de modo que incluso circuitos muy complejos como microprocesadores y chips de memoria se pueden acomodar en obleas semiconductoras de solo unos pocos milímetros cuadrados . Las microestructuras de estos elementos se fabrican en el rango nanométrico desde principios de la década de 1990 . Los chips semiconductores rectangulares son chips (también llamados ya en estado verde), en particular junto con el circuito electrónico aplicado también microchip . Los circuitos integrados se fabrican en nuestras propias fábricas de semiconductores en salas limpias absolutamente libres de polvo y abarcan una gran cantidad de pasos de proceso de naturaleza física y química. A medida que el rendimiento de los microprocesadores y los chips de memoria generalmente aumenta a medida que las estructuras del chip se hacen más pequeñas, su miniaturización suele estar al límite. de lo que es técnica y físicamente factible. Sin embargo, también hay numerosos circuitos integrados, en particular estandarizados, como módulos lógicos y amplificadores operacionales , donde esto solo juega un papel menor: los chips lógicos de la serie 74xx ampliamente utilizada, que se han fabricado desde la década de 1970, solo contienen una cantidad de transistores en el rango de uno o dos dígitos .

Uso del lenguaje

Coloquialmente ahora microchip o chip parcialmente equiparado con un IC , aunque el chip es sólo el "funcionamiento interno" de un circuito, es decir, el inglés como el chip semiconductor designado para el circuito real en el mismo.

Los circuitos integrados también son componentes dobles, triples u otros múltiples (independientemente de si son un componente semiconductor o un tubo), que están cada uno en una carcasa común o bombillas de vidrio como diodos dobles , triodos , pentodos , dobles o Se ubican transistores triple darlington , etc.

historia

Circuito de resistencias y condensadores integrados en el interior del 3NF de Siegmund Loewe (patente 1925/1926). Contactos → * Triodo: al triodo interno * A / S: afuera a la antena y selección de la estación * P: afuera a la batería (P = potencia) * H: afuera al voltaje para la calefacción del tubo * L: afuera al altavoz o auriculares

predecesor

Antes del desarrollo de los circuitos integrados, existían componentes electrónicos activos que estaban cableados junto con varios pasivos y se enviaban en una carcasa. Un ejemplo fueron los tubos de electrones y vacío 3NF y 2HF , desarrollados a mediados de la década de 1920 ; el primero era un triple tubo , similar al posterior doble triodo ECC83 . En contraste con el tubo más joven, ambos tubos de la década de 1920 tenían cuatro o dos resistencias y dos o un condensador integrados en el interior del tubo, como en un circuito integrado . Esto dio como resultado circuitos de radio terminados: el 3NF como circuito básico para la recepción y amplificación local y el 2HF además de la recepción remota opcional, donde solo los componentes externos para la selección de la estación , la fuente de alimentación y la reproducción ( altavoces o auriculares ) y la antena tenían que estar conectados.

A fines de la década de 1950, se construyeron circuitos electrónicos con componentes discretos; H. con transistores individuales , diodos, etc., que se han ensamblado en una placa de circuito impreso para formar un circuito, cf. Integración (tecnología) . En términos de tamaño y vida útil, esto ya fue un gran avance en comparación con los tubos de electrones que competían en ese momento .

Incluso antes de la invención del transistor, había componentes electrónicos que integra varias funciones en un componente , en forma de multi-sistema de tubos , tubos de material compuesto , tales como la 3NF , diodos dúo o multi-ánodo rectificadores de vapor de mercurio que funcionan como varios rectificadores controlados o no controlados (un cátodo y varios ánodos) combinados. Los transistores tienen ventajas decisivas sobre los tubos de vacío, p. Ej. B. Menor consumo de energía y tamaño. Con el uso de placas de circuito impreso y la consiguiente reducción de tamaño de los productos, esta nueva tecnología comenzó a reemplazar los primeros sistemas integrados basados ​​en tubos. Esta tendencia se intensificó por completo con el desarrollo y uso masivo de circuitos integrados a partir de la década de 1960.

Patente de Jacobi

Poco conocido es el " amplificador semiconductor" inventado y patentado por Werner Jacobi en 1949 , un circuito compuesto por cinco transistores en un semiconductor que sirve como material portador. Estos forman un circuito amplificador de tres etapas en forma de circuito integrado. Dos transistores se conmutan "por encima" y por lo tanto efectúan la conversión de impedancia entre las etapas del transistor. Jacobi afirmó que los audífonos , por ejemplo , podrían hacerse pequeños, livianos y baratos.

No se conoce un uso comercial inmediato de su patente. La formulación del concepto de integración se encuentra en la aplicación publicada el 15 de mayo de 1952: "amplificador semiconductor, caracterizado porque una pluralidad de sistemas de electrodos actuando en diferentes etapas de conmutación o amplificación se colocan sobre el semiconductor". Es decir, por ejemplo, la integración de múltiples diodos emisores de luz en un La idea básica de la vivienda se remonta a Jacobi.

Desde Kilby y Noyce hasta hoy

Réplica del primer IC de Jack Kilby. Modelo en el Foro de los Museos Heinz Nixdorf
IC en carcasa de plástico DIP . Mayor 8 bits - microcontrolador (PIC 16F84A) con escribible EEPROM memoria. El circuito integrado no es visible dentro de la carcasa de plástico.
Vista detallada de una EPROM . Los cables de conexión unidos son fáciles de ver

El primer circuito integrado (un flip-flop ) fue desarrollado por Jack Kilby en septiembre de 1958 . Consistía en dos transistores bipolares que estaban unidos a un sustrato de germanio y conectados por cables de oro. Este circuito híbrido es, por tanto, un primer ejemplo de la implementación de la lógica transistor-transistor (TTL) ya conocida en un circuito. Fue una etapa preliminar para el desarrollo posterior de circuitos TTL hacia diseños más pequeños.

El primer "monolítico", es decir H. En julio de 1959 , Robert Noyce solicitó una patente para un circuito integrado hecho de o en un solo sustrato monocristalino . El factor decisivo en la invención de Noyce fue la producción completa de los componentes, incluido el cableado, sobre un sustrato. Los procesos fotolitográficos y de difusión, que Fairchild Semiconductor había desarrollado recientemente para la fabricación del primer transistor bipolar de difusión moderno, ya se han utilizado para la producción. Sobre la base de estas tecnologías, entre otras cosas, los primeros microprocesadores de tres empresas se presentaron casi simultáneamente en 1970/71: el Intel 4004 , el Texas Instruments (TI) TMS 1000 y el Garrett AiResearch "Central Air Data Computer" (CADC).

Los primeros circuitos integrados en producción en serie surgieron a principios de la década de 1960 (especialmente en Texas Instruments y Fairchild Semiconductor ). Que sólo consistían en hasta unas pocas docenas de transistores bipolares ( Inglés integración a pequeña escala , SSI), típicamente en la tecnología RTL . Sin embargo, a lo largo de los años, los componentes se han vuelto cada vez más pequeños, se han integrado componentes pasivos como las resistencias y ha aumentado la complejidad de los circuitos integrados. Esto también aumentó el número de transistores por chip o por unidad de área; el número de transistores era la característica más importante de los circuitos integrados.

La industria armamentista y los viajes espaciales fueron un factor estimulante para un mayor desarrollo. Hasta mediados de la década de 1960, el gobierno de Estados Unidos fue el principal comprador de circuitos integrados. El objetivo era miniaturizar la tecnología en ambas áreas. A partir de 1965, el programa Gemini se equipó con computadoras a bordo basadas en circuitos integrados.

Con la integración de mediana escala (MSI) había espacio para algunos cientos de transistores, con la integración a gran escala (LSI) a principios de la década de 1970, para varios miles de transistores. Esto hizo posible por primera vez integrar todo un procesador principal (CPU) como un llamado microprocesador en un chip, lo que redujo en gran medida los costos de las computadoras . A principios de la década de 1980, siguió la integración a muy gran escala ( VLSI ) con varios cientos de miles de transistores, mediante los cuales pronto se podrían producir chips de memoria ( RAM ) con una capacidad de 256  KiBit y 1 MiBit. Con este mayor desarrollo de la tecnología de fabricación, un grado cada vez mayor de automatización del diseño (ver diseño de chip ) del diseño y las fotomáscaras necesarias para la fabricación iban de la mano, sin las cuales el desarrollo de circuitos más complejos ya no era posible.

En 2010, los procesadores gráficos contenían hasta tres mil millones de transistores (ver Nvidia Tesla ), CPU "normales" de uso general hasta 1.17 mil millones de transistores (Intel Core i7-980X). El Itanium 2 Tukwila consta de 2.050 millones de transistores. Los procesadores gráficos ahora han alcanzado un número de transistores de más de ocho mil millones de transistores ( Nvidia GTX TitanX ). Se logran números aún mayores con módulos de memoria, pero con menos complejidad de todo el chip.

Tipos y aplicación

IC (К145ХК3П, antiguo: К1ЖГ453), desarrollado en la SU , 2 × incluido en la calculadora de bolsillo soviética Эпос 73 (épica 73) fabricada a partir de 1974

visión general

La característica principal de los circuitos integrados es una gran cantidad de componentes activos y pasivos diferentes o idénticos  , estos últimos incluyen resistencias y condensadores  , así como la conexión de pistas conductoras en un sustrato monocristalino . De esta manera, forman la contraparte de los circuitos formados por componentes individuales (discretos) soldados en una placa de circuito . De película gruesa y de película delgada circuitos  - en los que los componentes se producen por deposición de vapor y la estructuración de una capa delgada sobre un sustrato de vidrio - y ( circuitos híbridos ) ocupan una posición intermedia . Hay una serie de otras distinciones:

Según la tecnología de fabricación

  • circuitos monolíticos : todos los componentes se producen en una sola pieza (sustrato) de material semiconductor monocristalino ( chip ); Los circuitos se suelen realizar mediante dopaje o epitaxia en la superficie del material del sustrato (diodos, transistores, hasta unos pocos micrómetros por encima y por debajo de la superficie original) o aplicando capas (resistencias, pistas conductoras, condensadores, aislamiento, compuertas de MOSFET , epitaxia) .
Ejemplos de tecnología: TTL , CMOS , CCD , BiCMOS , DMOS , BiFET , tecnología bipolar .
  • Los circuitos de película fina son componentes que se producen por deposición de vapor sobre un sustrato de vidrio. La mayoría de ellos son redes de resistencia. También se pueden fabricar con la máxima precisión mediante calibración por haz de electrones . Están protegidos por pintura por inmersión. Este grupo también incluye circuitos hechos de transistores de película delgada (TFT), como los que se utilizan en p. B. encontrar aplicación en pantallas planas.
  • Los circuitos híbridos de película gruesa combinan varios chips monolíticos, así como conductores impresos y componentes pasivos (casi solo resistencias) en tecnología de película gruesa, principalmente sobre un sustrato cerámico; a menudo se recubren por inmersión.

Según el tipo de señal

  • Los circuitos integrados digitales procesan o almacenan señales que están disponibles en forma de unos pocos niveles discretos.
  • Los circuitos integrados analógicos (lineales) procesan señales con cualquier valor intermedio.
  • Los circuitos integrados de señal mixta tienen componentes de circuitos analógicos y digitales.

Los circuitos integrados de sensores y actuadores son convertidores entre diferentes cantidades físicas que se fabrican utilizando tecnologías microelectrónicas. Algunos ejemplos son los circuitos integrados en cámaras CMOS , actuadores de microespejos , sondas Hall , sensores de aceleración o circuitos para medir su temperatura, iluminancia o para recibir señales infrarrojas digitales.

Después de la tarea

  • Los procesadores se utilizan como unidades informáticas y de control para computadoras.
  • Las memorias de semiconductores almacenan datos digitales
  • Chips miniaturizados en el contexto de la tecnología RFID para la identificación sin contacto de objetos y seres vivos
  • Los circuitos integrados lógicos estándar de varias familias lógicas ofrecen funciones en todas las aplicaciones
  • Los ASIC son desarrollos de aplicaciones específicas (por ejemplo, en tostadoras, en vehículos, en lavadoras)
  • Los ASSP son productos estándar de aplicaciones específicas que tienen aplicaciones especiales similares a los ASIC, pero son ofrecidos por el fabricante y no se construyen a pedido del cliente.
  • Los circuitos integrados de sensor convierten y procesan cantidades no eléctricas (por ejemplo, aceleración, luz, campos magnéticos)
  • Los DSP (procesadores de señales digitales) procesan señales digitales o señales analógicas en forma digital
  • Los convertidores D / A y A / D convierten valores digitales en analógicos o viceversa
  • Los FPGA ( matriz de puertas programables en campo ) son circuitos integrados digitales que el cliente puede configurar y constan de una gran cantidad de unidades funcionales interconectables
  • Los microcontroladores (µC) contienen todas las partes de una pequeña computadora (memoria de programa, unidad aritmética, memoria principal y registro)
  • Los circuitos integrados de alimentación pueden procesar altas corrientes y voltajes (por ejemplo, como amplificadores de potencia completos o en fuentes de alimentación )
  • System-on-a-Chip (SoC) son sistemas más grandes que se combinan en un chip.

Fabricación

visión general

Los circuitos integrados se fabrican íntegramente en obleas ( oblea semiconductora monocristalina ), por lo que se habla de “producción monolítica” o “integración monolítica”. En una oblea de 300 mm entre aproximadamente 80 (con procesadores muy grandes generalmente con una caché más grande, por ejemplo, Intel Tukwila ) y más de diez mil (con transistores individuales, circuitos simples, LED, fotodiodos, etc.), en su mayoría integrados idénticos Circuitos fabricados en paralelo, lo que entre otras cosas reduce los costes de fabricación. Además de las pruebas funcionales, el proceso de fabricación se puede dividir en tres secciones básicas:

  1. La producción de sustratos, que incluye la purificación del material de partida, la producción de grandes monocristales (los llamados lingotes ) y sustratos individuales (obleas).
  2. La fabricación de los componentes individuales en una oblea, el llamado front-end . Este paso se puede dividir en:
    1. Front-end-of-line (English front-end of line , FEOL, dt. ' Front end of the production line '): Aquí los componentes (activos) como transistores, diodos o condensadores se fabrican procesando el material del sustrato.
    2. Back-End-of-Line (engl. Back-end of line , BEOL, dt,. Trailing end of the production line '): Este paso implica esencialmente la denominada metalización, en la que los dispositivos fabricados en los FEOL están conectados entre sí, y pasivación final de la superficie.
  3. La división de las obleas en chips individuales y su empaque en carcasas, el llamado back-end (no confundir con back-end-of-line ).

Una "integración híbrida" (tecnología híbrida), una combinación de componentes de diferentes materiales y procesos de fabricación, como la tecnología de película fina y gruesa , tal como se utiliza en la fabricación de microsistemas, todavía no se ha utilizado en la fabricación de circuitos integrados. Sin embargo, una estructura comparable, la integración 3D , en la que varios chips se apilan uno encima del otro y se conectan eléctricamente entre sí, podría utilizarse en futuros circuitos integrados, cf. Módulo multichip .

Producción de sustrato

Obleas con diámetros desde 2 pulgadas hasta 200 milímetros con circuitos ya producidos

El material base (sustrato) generalmente sirve tanto como soporte como como material base para las áreas activas de diodos y transistores. Más del 99 por ciento de los circuitos integrados utilizan silicio como material de sustrato. Otros materiales, como el arseniuro de galio , también se utilizan para aplicaciones ópticas o de muy alta frecuencia . Para aplicaciones especiales, se utilizan sustratos de silicio sobre aislante (sustratos SOI) o silicio sobre el sustrato aislante, como zafiro ( silicio sobre zafiro , SOS).

Para que se puedan cumplir los altos requisitos de la microelectrónica, el sustrato debe fabricarse en forma de monocristales de alta pureza . En el caso del silicio, primero se extrae un cilindro monocristalino ( lingote ) de una masa fundida de silicio de alta pureza (véase extracción de silicio puro ). El llamado método Czochralski ( método CZ) se utiliza principalmente para este propósito. Un proceso alternativo es la fusión por zonas , que también se puede utilizar para una mayor limpieza de los lingotes de CZ; Para algunas aplicaciones especiales, se necesitan grados más altos de pureza que un átomo extraño en 109 átomos del lingote CZ. Los lingotes se cortan en rodajas finas de 0,5 a 1,5 mm, las denominadas obleas . Las obleas de silicio que se utilizan en la producción en masa hoy (2016) tienen diámetros de 150, 200 o 300 mm (también llamados 6, 8 o 12 pulgadas), mientras que las obleas de 450 mm aún se encuentran en la fase introductoria. A través de varios procesos de grabado, esmerilado y pulido, se obtiene una superficie casi perfectamente plana con irregularidades del orden de menos de un nanómetro, es decir, solo unas pocas capas atómicas.

Interfaz

Estructura esquemática de un chip CMOS en la década de 2000 (extracto)

En la producción de circuitos integrados, todos los pasos de trabajo antes de cortar la oblea en cubitos se resumen bajo el término front-end ( es decir, sección frontal / primera ). Se lleva a cabo en salas blancas con muy baja densidad de partículas de polvo. Esto es necesario porque incluso las partículas más pequeñas (<0,1  µm ) pueden provocar la falla de un circuito completo. Los procesos y procedimientos utilizados se pueden dividir a grandes rasgos en los siguientes grupos:

  1. Procesos de estructuración (especialmente fotolitografía para estructurar máscaras fotorresistentes que cubren áreas de la oblea en los pasos posteriores que no deben tratarse (por ejemplo, dopadas)),
  2. Proceso de estructura de capas ( epitaxia , deposición catódica , deposición de vapor , CVD , etc.),
  3. Eliminación y limpieza de la capa de proceso (procesos de grabado químico en seco y húmedo) y
  4. Proceso para cambiar las propiedades del material (por ejemplo , procesos de horneado , dopaje , formación de siliciuros ).

La producción de front-end se divide en dos áreas más grandes: el front-end-of-line (FEoL) y el back-end-of-line (BEoL). Se diferencian tanto en términos de los elementos funcionales a fabricar como de los procesos de fabricación utilizados en la tecnología y los materiales de semiconductores .

Partiendo de una oblea no estructurada, el front-end-of-line comprende esencialmente todos los pasos del proceso para la producción de los componentes eléctricamente activos y pasivos (transistores, condensadores y también resistencias). Sin embargo, en el back-end-of-line , las conexiones eléctricas entre estos componentes se realizan y solo entonces se vinculan a un circuito electrónico en funcionamiento . En sentido figurado, los cables, es decir, las líneas eléctricas delgadas de metal, se fabrican y conectan de acuerdo con el diagrama del circuito. Por eso se habla de cableado o metalización.

La línea divisoria aproximada para el FEoL y el BEoL es el contacto de los electrodos del transistor. Los procedimientos básicos utilizados aquí corresponden en gran medida a los del BEoL. Sin embargo, dado que se utilizan técnicas especiales y nuevamente materiales diferentes en esta área crítica, no se puede asignar claramente a una de las áreas. Por lo tanto, se ha establecido el término medio de línea para esta sección de producción .

A continuación, se describen de forma simplificada las secuencias de proceso esenciales para la producción de los modernos transistores de efecto de campo semiconductores de óxido metálico (MOSFET) dentro de circuitos integrados más grandes.

Front-end-of-line

La producción inicial de un microprocesador típico comienza con la producción de las áreas de aislamiento entre los componentes individuales. Desde finales de la década de 1990, este llamado es en gran parte aislamiento de graves (Engl. Aislamiento de zanja superficial STI) utilizado. Aquí primero grandes áreas de la tumba en el sustrato (generalmente silicio) grabadas . Estas áreas se definen utilizando una máscara de resistencia previamente estructurada fotolitográficamente , que protege las áreas de transistores activos posteriores del ataque de grabado. Después del grabado, las trincheras se llenan con un material eléctricamente aislante (generalmente dióxido de silicio), generalmente mediante procesos de deposición química de vapor (CVD). Para garantizar que no se creen áreas sin rellenar, se deposita significativamente más material dieléctrico del necesario. Para volver a obtener una superficie lisa, se elimina el exceso de material mediante pulido químico-mecánico (CMP) y se nivela la superficie de la oblea.

Ahora la siguiente etapa de fabricación, la producción de los llamados "sumideros" (en inglés sigue pozos ) y la preparación del canal de transistor posterior dopando el material del sustrato. La conductividad eléctrica extrínseca del material del sustrato se cambia localmente. Por ejemplo, el dopado de una oblea de silicio de conducción p comúnmente utilizada (ver también el proceso de Czochralski ) con boro crea un canal de conducción n en el que los transistores de efecto de campo de canal p (FET), es decir, un transistor cuya función Se produce la formación de un canal eléctricamente conductor p. El dopaje adecuado es necesario para poder producir las dos variantes diferentes de transistores de efecto de campo (transistores de canal n y canal p) para la tecnología CMOS que se ha utilizado en circuitos integrados durante varias décadas . Además, las uniones pn que surgen durante la producción de la cuba ayudan a desacoplar eléctricamente las áreas dopadas de manera diferente. La variante más simple es el proceso de una tina ya descrito (aquí para una tina p). Sin embargo, para ciertos requisitos, también se utiliza un proceso de pozos dobles ( proceso de pozos p y n ) o de pozos triples . Hoy en día, el dopaje en sí se suele realizar mediante implantación de iones sobre toda la superficie de la oblea. Para proteger las áreas que no deben ser dopadas o que deben doparse de manera diferente, estas se cubren con la ayuda de una máscara de resistencia previamente estructurada fotolitográficamente . Este es, como es habitual, un "nivel de estructuración" por procesos químicos húmedos (por ejemplo, solución de piraña ) y / o la ceniza de plasma eliminada. Estos mismos procesos también se utilizan para dopar el canal del transistor. Sin embargo, a diferencia de los pozos, el dopaje se introduce cerca de la superficie. Finalmente, suele haber un proceso de temperatura que cura los defectos de la red que han surgido durante la implantación de iones y activa eléctricamente el dopaje instalándolo en la red cristalina del sustrato.

La tercera etapa del proceso se utiliza para construir la pila de capas de puerta y la estructura de la puerta. En el caso de usual en los años 1990 y 2000 CMOS de proceso con dióxido de silicio como el dieléctrico de la compuerta y de polisilicio como el electrodo de puerta, la muy delgada es primero (unos pocos nanómetros de espesor) produce óxido de puerta, a menudo a través de " rápida oxidación térmica " (RTO, dt. Sobre rápido oxidación térmica ). A esto le sigue la deposición de toda la superficie de una pila de capas hecha de polisilicio y una fina capa de encapsulación hecha de dióxido de silicio. Esta capa de óxido se estructura mediante fotolitografía y grabado. Después de retirar la máscara fotorresistente, la capa estructurada resultante sirve como una máscara dura para la estructuración de la puerta mediante un proceso de grabado en seco anisotrópico, grabado con iones reactivos (RIE). Además del aislamiento de zanjas, la estructuración de puertas es uno de los procesos más exigentes en FEoL. Especialmente la fotolitografía para la definición del electrodo de puerta sigue representando un límite técnico para la miniaturización de los transistores ( planos ). Aquí es donde se realizan procesos altamente especializados como la litografía de inmersión , la reducción de las líneas de la máscara fotorresistente mediante un proceso de grabado en seco isotrópico ( resistir encogimiento ) y Cada vez se utilizan más estructuras múltiples . El paso intermedio ya mencionado es también un proceso especial (relativamente simple), que es necesario porque la fotolitografía, entre otras cosas, no es capaz de proporcionar máscaras fotorresistentes suficientemente gruesas de la resolución necesaria que resistirían el proceso de grabado.

En pocas palabras, la estructura de la puerta va seguida de la definición de las regiones de origen y drenaje. En el caso de los nodos de tecnología más antigua (mayores de 350 nm), esto solo comprendía el dopaje especial de las regiones de origen y drenaje. Sin embargo, para los LDD-MOSFET (LDD = drenaje ligeramente dopado ) que se utilizan normalmente en la actualidad, esto incluye el dopaje de la extensión del drenaje ( implante de extensión ) y los implantes de halo, así como la producción de uno o más espaciadores (en alemán: "espaciadores") ), a través del cual la posición de los dopantes introducidos por implantación de iones se puede controlar con relativa facilidad. Solo al final sigue el dopaje final de las áreas de origen y drenaje, con lo que termina la sección de producción de FEOL real. Ahora comienza el contacto y la vinculación de los transistores.

Medio de la línea

Después de la producción real del transistor, se conectan los componentes individuales. Sin embargo, antes de que esto suceda, los electrodos del transistor deben contactarse eléctricamente. La sección de fabricación de contactos no está claramente asignada a FEOL o BEOL, por lo que esta sección a menudo se conoce como Middle-of-Line (MOL).

Para asegurar un buen contacto eléctrico entre las áreas semiconductoras y la conexión metálica (cf. Contacto Schottky ), los electrodos se silicifican primero , por ejemplo mediante la deposición de una capa de níquel en toda la superficie y la posterior formación de siliciuro a altas temperaturas. Después de la formación de siliciuro, el dieléctrico intermedio generalmente se deposita y nivela , principalmente vidrio de silicato sin dopar ( USG) o dieléctricos de baja k (cada vez más desde mediados de la década de 2000). A esto le sigue la realización de los orificios de contacto mediante RIE y su relleno con un metal, generalmente tungsteno , que se deposita mediante un proceso CVD y se nivela mediante CMP.

Las capas de tensión utilizadas en algunas tecnologías de transistores, que están destinadas a ejercer presión o tensión en el canal del transistor y, por lo tanto, pueden influir en la movilidad del portador de carga , generalmente se aplican después de la formación de siliciuro y se asignan al MoL.

Fin de línea

oblea no singulada

El BEOL real sigue ahora la metalización, es decir, la producción de una red de pistas conductoras con las que se conectan los componentes individuales. Los materiales típicos son el aluminio y, desde finales de la década de 1990, a menudo el cobre. La producción de las pistas conductoras depende en gran medida del metal utilizado. En el caso del aluminio, por ejemplo, el metal se deposita primero sobre toda la superficie y luego se estructura mediante fotolitografía y un proceso de grabado en seco . Este método no es posible con el cobre, ya que no existe un proceso de grabado en seco para el cobre en el que se forman productos de reacción gaseosos. Sin embargo, desde principios de la década de 2000 se han realizado investigaciones exitosas sobre la estructuración del cobre en alto vacío mediante procesos de grabado en seco. En cambio, en el caso del cobre, la capa aislante depositada sobre toda la superficie se estructura primero y luego el cobre se metaliza mediante procesos galvánicos. Aquí se utilizan dos técnicas principales: el proceso de damasquinado y el damasquinado dual . Se diferencian en la forma en que se realizan las conexiones eléctricas, denominadas VIA ( acceso de interconexión vertical en inglés ), entre los niveles reales de la vía del conductor (individualmente o junto con los niveles de la vía del conductor). Los VIA corresponden a los orificios enchapados en placas de circuito impreso multicapa . En la ilustración son las estructuras verticales naranjas. En un circuito integrado terminado, hay de 13 a 15 niveles de metalización de este tipo, uno encima del otro. El tamaño de la estructura aumenta gradualmente en niveles más altos, por ejemplo, los dos primeros niveles se fabrican en el tamaño de estructura más pequeño posible (1 ×) y luego siguen de dos a cinco niveles con un espaciado de estructura mayor (por ejemplo, 2 ×), esto puede ser hasta 32- veces (32 ×) las estructuras más gruesas continúan en el nivel superior (se pueden omitir los niveles intermedios).

Además de estas metalizaciones convencionales existen otras técnicas como la vía de silicio (en inglés a través de vía de silicio , TSV). Actualmente se usa en algunas aplicaciones de sensores que requieren ciertas funciones tanto en la parte frontal como en la posterior de los chips, como ciertos biosensores. Sin embargo, también se considera una tecnología prometedora para realizar una futura integración 3D de circuitos integrados. Varios chips (muy delgados) se apilan uno encima del otro y los niveles de chip individuales están conectados eléctricamente entre sí mediante TSV. Dado que en ambos casos se ejecutan los TSV a través de la oblea, también se denomina vía de silicio a través de nivel de oblea (dt. Vía de silicio a través de la oblea ), ver también ITRS en 2009.

En el caso de algunos componentes, como el IGBT , el lado opuesto al circuito se metaliza adicionalmente para producir un contacto conductor; sin embargo, con solo uno o dos niveles de metalización.

Back end

Para su uso en una placa de circuito impreso, el chip sensible debe estar integrado en una carcasa .

Chip EPROM (5 mm × 3 mm) con cables de unión de oro (alrededor de 1990)

En el llamado back-end , las obleas se dividen en chips individuales y estos generalmente se colocan en una carcasa.

Antes de cortar en cubitos, las obleas a menudo se adelgazan mediante molienda, lo que se conoce como "traslape". Las obleas tienen un grosor aproximado de entre 100 y 200 µm. El adelgazamiento se realiza para mejorar el comportamiento de enfriamiento del chip. Esto es posible porque en los circuitos integrados que utilizan tecnología de película fina, las áreas eléctricamente activas solo se encuentran en los primeros micrómetros en la superficie del lado de la estructura. El material de sustrato restante solo se utiliza para la estabilidad mecánica. El espesor total del sustrato ya no es necesario para las virutas aserradas. Sin embargo, los sustratos gruesos tienen una mayor resistencia térmica. Dado que los disipadores de calor suelen estar unidos a la parte posterior del sustrato, las obleas adelgazadas tienen un mejor comportamiento de enfriamiento.

La división de las obleas en individuos Esto generalmente se hace aserrándolo, raramente también rayándolo y rompiendo. Para que las matrices no se deshagan durante el aserrado, la oblea se pega en una lámina de aserrado antes de aserrar. Dado que la sierra quita una pieza de la oblea, las virutas no se colocan de manera uniforme una al lado de la otra, sino que tienen una cierta distancia entre ellas. En estas pistas también se aplican los denominados “ scratch frames ”, estructuras de prueba, que se utilizan, entre otras cosas, para las mediciones de PCM inmediatamente después de la producción del front-end . Estas estructuras de prueba se destruyen al serrar.

Virutas en paquetes de plástico de montaje en superficie en una placa de circuito de computadora (macro shot)

En el subsiguiente envasado (engl. Packaging ), los ICs individuales se introducen entonces en una carcasa y en contacto con, la denominada unión . Se utilizan diferentes procesos según el tipo, por ejemplo, unión de chips o unión de cables . El taponado ( Einhausen ) se utiliza para sellar herméticamente contra las influencias ambientales - para los circuitos puramente eléctricos la carcasa debe ser hermética al gas y a la luz - y para mejorar la usabilidad. El chip y los alambres de unión están encerrados en una cavidad (chapa, cerámica, posiblemente con una ventana) o recubiertos con resina sintética ( técnica de moldeo por inyección ). Los circuitos muy complejos (principalmente para aplicaciones móviles) también se han utilizado recientemente (2009) sin una carcasa de base y soldados directamente a las placas de circuito respectivas (cf. Ball Grid Array ). Finalmente, hay otra prueba de funcionamiento, en la que se comprueban las propiedades garantizadas en todos los circuitos. La prueba de tipo se realiza de forma aleatoria o solo en la fase de desarrollo. La prueba de rutina se utiliza para clasificar en circuitos de diferentes clases de calidad (por ejemplo, de acuerdo con el voltaje de compensación para amplificadores operacionales ) Los resultados de la prueba y el tipo de limitación determinan el área de aplicación. De esta manera, se producen altas calidades para temperaturas de funcionamiento extendidas y requisitos ambientales (el llamado estándar MIL para aplicaciones militares y espaciales). Son posibles tolerancias más altas y tapones de plástico para aplicaciones masivas (bienes de consumo).

Como paso final, la carcasa se imprime con información del fabricante, p. Ej. B. con el nombre del fabricante, el número de tipo, la fecha de fabricación u. A diferencia de la producción inicial, la producción final está dominada por la micromecánica y los métodos de procesamiento de plásticos ( moldeo por inyección ).

Pruebas de funcionamiento y supervisión de procesos

Para reaccionar a las fluctuaciones del proceso en una etapa temprana, para corregir los procesos defectuosos si es necesario o incluso para eliminar obleas o lotes de la producción, los circuitos integrados aún sin terminar se prueban después de muchos pasos del proceso. En la parte frontal, se trata en su mayoría de muestras aleatorias en forma de prueba PCM ( supervisión de control de procesos ). Para la determinación de parámetros tecnológicos, la prueba (por ejemplo, prueba de espesor de capa) generalmente se lleva a cabo directamente después del proceso respectivo, aquí a veces es importante registrar también los sistemas respectivos, ya que sistemas idénticos con los mismos parámetros producen desviaciones que pueden estar fuera del rango de tolerancia. Después de la interfaz, todos los circuitos integrados generalmente se prueban para determinar su función antes de continuar con el procesamiento. Los parámetros eléctricos más importantes de los componentes utilizados se determinan en estructuras de prueba especiales que se encuentran en las rayas entre las virutas. Los parámetros deben cumplir con ciertas especificaciones para garantizar que los chips funcionen de manera confiable en todo el rango de temperatura permisible y durante toda la vida útil especificada. En algunos casos, ciertas funciones (circuitos de RF o conexiones del chip que luego no se conectan a los PIN) solo se pueden probar en el dado. Sobre todo, por razones de coste, debe evitarse que los circuitos integrados no funcionales se procesen posteriormente en el proceso de fabricación posterior.

Aunque estas mediciones se ejecutan de forma totalmente automática en sistemas de prueba especiales ( equipo de prueba automático ), los costos asociados con chips de procesador altamente integrados casi han alcanzado los costos de producción. Esto se debe principalmente al hecho de que las economías de escala solo tienen efecto de forma limitada durante las pruebas (por ejemplo, la paralelización solo es posible con circuitos digitales puros) y los circuitos integrados más nuevos contienen cada vez más funciones que deben probarse una tras otra. Para poder resolver las estructuras finas de la microelectrónica, hoy en día se utilizan adaptadores de aguja rígidos , con los que se puede resolver una distancia de punto de medición de 150 µm. Gracias a la guía precisa de las agujas rígidas, los puntos de contacto con un diámetro de 70 µm se pueden recoger y comprobar con dichos adaptadores. El adaptador de aguja rígido también permite el contacto de micro-tapones de polos finos, que se utilizan cada vez más en microelectrónica en estos días. Esto significa que tales enchufes ya no tienen que estar en contacto con el conector de acoplamiento que se desgasta rápidamente. Se pasa una curva de aprendizaje con todas las nuevas tecnologías de EF. a. se puede medir por el rendimiento de los componentes básicos funcionales. Dado que una nueva tecnología de EF implica costos de desarrollo considerables (a veces cantidades de millones de tres dígitos), las empresas tienen ventajas económicas que logran valores de alto rendimiento lo más rápido posible.

Finalmente, el chip empaquetado también se somete a una prueba final antes de la entrega para identificar errores en la producción back-end. También se prueban algunas propiedades que cambian debido al embalaje o cuya medida no es posible sin la carcasa, como por ejemplo: B. la unión o ciertas propiedades de alta frecuencia. El chip empaquetado puede ir al conjunto de PCB.

Miniaturización

Los circuitos integrados se consideran un componente electrónico independiente. El tamaño del sustrato IC (inglés, que ) es por regla general de solo unos pocos milímetros cuadrados , y es considerablemente más pequeño que la carcasa circundante, que las conexiones eléctricas reales (pines) en tamaño manejable para soldar tienen. Para mantener los costos de producción de los circuitos integrados, a menudo complejos y costosos, lo más bajo posible, se producen varios (cientos a miles) circuitos integrados en paralelo en las llamadas obleas en microelectrónica , pero las tolerancias de producción y los errores que ocurren impiden un rendimiento del cien por ciento.

Para mantener los costos de producción lo más constantes posible o incluso reducirlos en las generaciones posteriores de circuitos integrados más complejos, se están implementando dos tendencias principales en la microelectrónica. Por un lado, el área del chip para el IC individual se mantiene lo más pequeña posible (tendencia principal), por otro lado, el mayor número posible de IC están alojados en una oblea, mientras que la carcasa tiene en cuenta otros requisitos (tecnología de soldadura, disipación de calor, etc.) y, según los requisitos del mercado, también muestra diferentes características. .

Mantener el área del chip lo más constante posible da como resultado circuitos cada vez más complejos, como los circuitos integrados modernos. B. Los módulos de memoria y los microprocesadores pueden contener miles de millones de componentes (especialmente transistores); los componentes individuales, como los transistores, deben hacerse más pequeños, lo que también permite una frecuencia de reloj más alta y un voltaje de funcionamiento reducido y, por lo tanto, el consumo de energía. Sin embargo, con un área de viruta constante, difícilmente se pueden ahorrar costes mediante un mayor paralelismo en la producción. Por lo tanto, el tamaño de oblea estándar en producción se ha incrementado de obleas de 2 pulgadas a las obleas de 12 pulgadas actuales (diámetro real de 300 mm). Con el aumento del tamaño de la oblea, un uso más eficiente de la superficie de la oblea fue de la mano (menos desperdicio). Sin embargo, para no solo mantener la misma calidad de producción, sino para mejorarla, lo cual era necesario debido a los componentes pequeños, hubo que superar los principales desafíos en la tecnología de recubrimiento.

En general, la miniaturización de los circuitos logrará los siguientes objetivos:

  • Uno de los objetivos es una producción más eficiente, esto se logra, entre otras cosas, mediante la producción paralela sobre un sustrato ( oblea inglesa ) y por lo tanto el ahorro de materias primas en la producción y posterior procesamiento.
  • Además, los componentes deben volverse más eficientes en operación, por lo que la reducción de las estructuras permite un aumento en las velocidades de conmutación; esto se logra, por ejemplo, a través de longitudes de línea más cortas y, por lo tanto, tiempos de tránsito de señal más cortos y tiempos de latencia más bajos al recargar las capacidades en los componentes y reduciendo el consumo de energía de los circuitos integrados.
  • Al integrar funciones adicionales, los nuevos circuitos integrados a menudo pueden combinar la funcionalidad de varios circuitos integrados previamente discretos, lo que también puede aumentar la confiabilidad, lo cual es una ventaja importante sobre los circuitos soldados convencionales, especialmente en la fase inicial de los circuitos integrados.

De esta manera, se van a producir componentes más pequeños, más ahorradores de energía con más y más funciones, lo que es particularmente importante para los dispositivos móviles. Los circuitos integrados y su miniaturización permiten teléfonos , SIM , tarjetas de dinero y de crédito, RFID , sensores inteligentes, marcapasos o audífonos más pequeños y duraderos, así como reproductores MP3 o cámaras CMOS , etc. a. en teléfonos celulares.

Areas de aplicación

Los circuitos integrados forman la base de toda la electrónica compleja actual, especialmente la tecnología informática. Solo mediante la integración es posible ofrecer una amplia funcionalidad en un espacio reducido. Además, en muchos casos , los circuitos integrados permiten la implementación técnica de sistemas que de otro modo serían demasiado costosos, demasiado complejos, consumirían mucha energía, serían demasiado grandes o, en principio, no serían factibles.

Ver también

enlaces web

Commons : Circuito integrado  : álbum que contiene imágenes, videos y archivos de audio

Evidencia individual

  1. ^ Actas de Crack Paths (CP 2009) . Universidad de Padua, Padua 2009, ISBN 978-88-95940-28-1 , p. 887.
  2. ^ Historia de la tecnología inalámbrica . John Wiley & Sons, Nueva Jersey 2006, ISBN 0-471-71814-9 , págs. 339 y siguientes.
  3. Otto Stürner: El tubo de electrones. En: tecnología de radio. (= Colección Göschen. Volumen V). Verlag Walter de Gruyter, Berlín 1927, pág.68 y sigs.
  4. Patente DE833366 : amplificador semiconductor. Registrada el 15 de abril de 1949 , publicada el 30 de junio de 1952 , solicitante: SIEMENS AG, inventor: W. Jacobi.
  5. ^ A b Jack S. Kilby: Invención del circuito integrado . En: Transacciones IEEE sobre dispositivos electrónicos . cinta 23 , no. 7 , 1976, pág. 648-654 .
  6. Patente US2981877 : Dispositivo semiconductor y estructura de cables . Archivado el 30 de julio de 1959 , publicado el 25 de abril de 1961 , inventor: Robert N. Noyce.
  7. MI Ross: La invención del transistor . En: Actas del IEEE . cinta 86 , no. 1 , 1998, pág. 7-28 .
  8. ^ RG Arns: El otro transistor: historia temprana del transistor de efecto de campo semiconductor de óxido metálico . En: Revista de Ciencias de la Ingeniería y Educación . cinta 7 , no. 5 , 1998, págs. 233-240 .
  9. ^ David C. Mowery, Nathan Rosenberg: Inversión federal de posguerra. En: Tecnología y búsqueda del crecimiento económico. Cambridge University Press, Cambridge (Inglaterra) 1989, ISBN 0-521-38936-4 , págs. 145 y sig.
  10. ^ Robert Slater: Hacer la computadora más pequeña y más poderosa. En: Retratos en silicio. El Instituto de Tecnología de Massachusetts, Cambridge MA 1987, ISBN 0-262-69131-0 , p. 159.
  11. Nvidia: Tarjeta gráfica de gama alta GeForce GTX Titan X por $ 1000. En: heise online. Consultado el 1 de noviembre de 2016 .
  12. V. Ney: grabado en seco inducido por luz de cobre y cobalto con cloro . Ed.: Universidad Libre de Berlín, Departamento de Física. Berlín, mayo de 2004, pág. 158 ( fu-berlin.de [PDF]).
  13. ^ Grafeno. Semiconductor Manufacturing & Design Community, consultado el 10 de febrero de 2017 (inglés americano).
  14. Wafer Level Through Silicon Via (TSV) para integración 3D . En: Hoja de ruta tecnológica internacional para semiconductores, edición de 2009. Montaje y Embalaje . 2009, pág. 18-19 ( itrs.net [PDF]). itrs.net ( Memento del 9 de octubre de 2010 en Internet Archive )